Strumenti Utente

Strumenti Sito


Action disabled: revisions
playground:playground

`y(t)=Y_M sen(omega t + varphi)`

dove l'angolo `theta = omega t` e `omega = theta/t` è la pulsazione che è la velocità costante con cui cambia l'angolo

`omega = 2 pi f = (2 pi)/T`

`V_{eff}=V_M/(sqrt(2))≅0.7 V_M `

`v(t)=V_M sen(omega t + varphi_v)=sqrt(2)V sen(omega t + varphi_v)`

`i(t)=I_M sen(omega t + varphi_i)=sqrt(2)I sen(omega t + varphi_i)`

`v(t)=sqrt(2)V sen(omega t + varphi_v)`

`i(t)=sqrt(2)I sen(omega t + varphi_i)`

in regime sinusoidale tutte le grandezze hanno la stessa frequenza. Nei nostri impianti f=50Hz quindi `omega = 2 pi 50 = 314 text(rad/s)` allora:

`v(t)=sqrt(2)V sen(314 t + varphi_v)`

`i(t)=sqrt(2)I sen(314 t + varphi_i)`

dei tre parametri che individuano una sinusoide me ne interessano solo due perché uno lo conosco già. Restano un'ampiezza e un angolo con cui posso costruire un vettore.

Questo sito Web utilizza i cookie. Utilizzando il sito Web, l'utente accetta la memorizzazione dei cookie sul proprio computer. Inoltre riconosci di aver letto e compreso la nostra Informativa sulla privacy. Se non sei d'accordo, lascia il sito.Maggiori informazioni sui cookie
playground/playground.txt · Ultima modifica: 2020/07/03 15:58 da 127.0.0.1